Verwendung einer neuen Generation hochgradig abstimmbarer Tieftöner

Blog

HeimHeim / Blog / Verwendung einer neuen Generation hochgradig abstimmbarer Tieftöner

Mar 28, 2023

Verwendung einer neuen Generation hochgradig abstimmbarer Tieftöner

Verbesserte dielektrische Leistung auf der grundlegendsten Ebene, die ICs haben können

Eine verbesserte dielektrische Leistung auf der grundlegendsten Ebene von ICs kann heute und morgen einen enormen strategischen Einfluss auf die Entwicklung von Speicher- und Logikschaltungen haben

Stellen Sie sich vor, Sie befinden sich in einem großen Raum voller Menschen, von denen jeder über wichtige Informationen verfügt, die Sie benötigen. Alle erzählen Ihnen gerne, was sie wissen, aber es gibt ein Problem: Sie reden alle gleichzeitig. Je dichter der Raum, desto schwieriger ist es, die Worte der Person, auf die Sie sich konzentrieren möchten, von der umgebenden Kakophonie zu unterscheiden.

Das Problem ist Übersprechen, das von Wikipedia als „jedes Phänomen, bei dem ein auf einem Schaltkreis oder Kanal eines Übertragungssystems übertragenes Signal einen unerwünschten Effekt in einem anderen Schaltkreis oder Kanal erzeugt“ definiert wird. Und wenn Sie Speicher- und Logikgeräte mit Milliarden von DRAM-Zellen oder Logiktransistoren in unmittelbarer Nähe herstellen, stehen Sie vor einer Situation, die einem Raum voller gesprächiger Menschen sehr ähnelt.

Betrachten Sie die typische DRAM-Zelle: einen Kondensator, der eine Ladung hält, die eine 1 oder 0 darstellt; ein Zugriffstransistor; und eine Bitleitung, über die die Ladung des Kondensators gelesen wird. Im Laufe der Zeit wurden diese Strukturen im Streben nach größerer Dichte, Geschwindigkeit und minimiertem Stromverbrauch kleiner und in den letzten Jahren zu 3D-Designs weiterentwickelt. Parallel dazu wurden sowohl die Lesespannung (∆V) als auch die Zellkapazität (Cs) mit jeder Technologiegeneration reduziert, was eine ähnliche Reduzierung der Bitleitungskapazität (CBL) erforderlich machte.

In unserem Vergleich mit einem Raum voller Menschen sind diese Reduzierungen das Äquivalent dazu, dass die Person, der Sie zuhören, weniger deutlich spricht, was es noch schwieriger macht, ihre Worte zu isolieren. Und eine ähnliche Dynamik herrscht im Logiksektor, wo immer größere parasitäre Kapazitäten (sowohl zwischen Gates als auch zwischen Gates und Gate-Kontakten) das Risiko von Übersprechen erhöht haben.

Übersprechen gibt es schon seit den Anfängen der Elektronik, und glücklicherweise gibt es einen bekannten Weg, dagegen vorzugehen: Isolation. In unserem überfüllten Raum könnte dies bedeuten, dass um jede Person eine Schallwand angebracht wird. Auf einem IC kann dies oft mit besseren dielektrischen Filmen erreicht werden.

„Besser“ bedeutet in diesem Fall nicht nur eine niedrigere Dielektrizitätskonstante (k), obwohl das ein wichtiger Faktor ist. Darüber hinaus müssen sich Filme ablagern, ohne dass die Gefahr einer Beschädigung anderer Schaltkreiselemente besteht, und sie müssen in der Lage sein, nachfolgende thermische Bearbeitung, Ätzung, Reinigung und andere Schritte ohne Veränderung ihrer Eigenschaften zu überstehen. Sie müssen fehlerfrei und gleichmäßig sein. Und im Zeitalter der 3D-Schaltungsmerkmale reicht eine gleichmäßige Dicke nicht aus – die Eigenschaften eines Films müssen auch einheitlich sein, selbst wenn er in vertikaler Richtung aufgetragen wird.

Es kommt noch ein weiterer Faktor ins Spiel: Jedes fortschrittliche Chipherstellungsunternehmen steht in einem intensiven Wettbewerb und ist bestrebt, seine eigenen, einzigartigen Methoden zu entwickeln, um hier etwas mehr Ertrag und dort etwas mehr Leistung zu erzielen. Ingenieure, die für diese Prozessoptimierungen verantwortlich sind, profitieren von der Vielseitigkeit und Flexibilität der Filme, mit denen sie arbeiten – der Möglichkeit, die Zusammensetzung eines Films anzupassen, um unterschiedliche Eigenschaften, einschließlich Ätzselektivität, zu erzielen. Darüber hinaus erschwert die höhere Dichte und Komplexität jeder neuen Technologiegeneration die Erzielung dieser Leistungs- und Ertragssteigerungen. Um noch einmal auf die Analogie zu einem Raum voller Menschen zurückzukommen: Es ist, als ob der Raum immer kleiner wird, während die Menschen lauter reden. Es gibt weniger Raum für Isolation, dafür aber mehr Bedarf.

In der Zeit vor 3D konnten Prozess- und Integrationsingenieure auf der Suche nach Isolationslösungen auf bewährte Methoden zur Abscheidung abstimmbarer planarer Dielektrika oder konformer SiO2 und Nitride zurückgreifen. Heutzutage besteht jedoch ein Bedarf an Abstimmbarkeit und Konformität sowie an der Fähigkeit, Filme mit Si-C-Bindungen wie Siliziumoxycarbid (SiCO) abzuscheiden. Diese sind für eine höhere Ätzselektivität erforderlich, die in vielen Anwendungen ein immer wichtigerer Faktor ist, von Gate-All-Around-Abstandshaltern (GAA) über BEOL-Dielektrika bis hin zu fortschrittlichen Lithographieprozessen. Gleichzeitig wächst die Besorgnis über Schäden an Schaltkreisen durch Plasma.

Wie geht es also beim Streben nach Isolation weiter? Ein Weg ist eine neue Abscheidungstechnik, SPARC, die gut auf diese neuen Anforderungen abgestimmt ist. Neben der Aufrechterhaltung einer einheitlichen Zusammensetzung und Filmeigenschaften über die gesamte Tiefe von Merkmalen mit hohem Aspektverhältnis ermöglicht SPARC die Abscheidung von hochkonformen SiCO-Dünnfilmen, die eine effektive Isolierung bieten, wenn sie als Low-k-Abstandshalter in Logik- und DRAM-Geräten verwendet werden.

Innerhalb der SiCO-Familie ermöglicht die SPARC-Methode eine umfassende Abstimmung der Zusammensetzung bei gleichzeitig hervorragender Konformität. Dichte, robuste SiCO-Filme mit k von ~4–4,4 und geringer Leckage können direkt auf Metallen wie Co, W usw. abgeschieden werden, ohne die Unterschicht zu oxidieren. Die Folien weisen eine hervorragende Haftung auf und sind gleichzeitig hermetisch. Selbst bei niedrigen Abscheidungstemperaturen von 400 °C ist der Kohlenstoff vollständig mit sehr wenigen oder keinen terminalen Methylgruppen vernetzt, was im Vergleich zu anderen SiOC-Filmen für thermische und chemische Stabilität sorgt.

Wichtig ist, dass all dies in einer Nicht-Plasma-Umgebung erreicht wird. Grundzustandsradikale im Downstream interagieren nur mit spezifischen Bindungen in sorgfältig ausgewählten Vorläufermolekülen. Die Wahl der Radikal- und Vorläufermoleküle macht den Bindungsbruch selektiv und erzeugt so Vorläuferradikale mit sehr niedrigen Haftkoeffizienten und folglich einer hervorragenden Stufenabdeckung. Si-C-Bindungen werden während des Abscheidungsschritts nicht aufgebrochen und jegliches O, N oder C, das im Vorläufermolekül an Silizium gebunden ist, bleibt erhalten. Das Design und die Wahl des Vorläufers richten sich nach dem gewünschten Filmtyp, um eine umfassende Abstimmung der Komposition zu ermöglichen.

Während dieses Prozesses kann das Verhältnis der Si-C-Bindungen erhöht werden, bei gleichzeitiger Verringerung der Si-O-Bindungsdichte. Auch wenn Filme bei unterschiedlichen Temperaturen abgeschieden werden, ist die Menge an vernetztem Kohlenstoff der Hauptfaktor für die Ätzselektivität gegenüber der Dichte oder der Gesamtkohlenstoffmenge im Film. Darüber hinaus weisen diese SiCO-Filme bei typischen Nasschemikalien wie verdünnter HF und heißer Phosphorsäure eine Nassätzrate (WER) von Null auf und bieten somit eine nahezu unbegrenzte Nassätzselektivität. Die Filme sind außerdem bis zu einer Dicke von mindestens 15 Å durchgehend und frei von feinen Löchern, im Gegensatz zu ALD-SiN-Filmen, die mindestens 30 Å dick sein müssen, um frei von feinen Löchern zu sein.

Wie sieht das in der Praxis aus? Kehren wir zu unserem Beispiel einer DRAM-Zelle zurück: Wie bereits erwähnt, hat der anhaltende Rückgang der Zellkapazität von Knoten zu Knoten zu einer entsprechenden Verringerung der Bitleitungskapazität geführt, um die Erfassung zu verbessern (d. h. die Fähigkeit, „die Zelle sprechen zu hören“).

Ein erheblicher Anteil (vielleicht die Hälfte) der Bitleitungskapazität ergibt sich aus der Kopplung zwischen der Bitleitung und der Speicherknotenzelle (SNC). Seit dem 20-nm-Knoten ist die Verwendung von Luftspalten eine Möglichkeit, diese Kopplung zu reduzieren. Beachten Sie die hellgrünen Linien auf beiden Seiten der Luftspalte – es handelt sich um dielektrische Filme, die viele strenge Standards erfüllen müssen, darunter Konformität, Haftung, Hermetik, Dielektrizitätskonstante und Durchbruchspannung. Die Eigenschaften von SPARC-abgeschiedenem SiOC ermöglichen eine Kopplung mit geringerer Kapazität als herkömmliche Materialien und damit eine höhere DRAM-Leistung.

In der Logik sind Gate-Abstandshalter seit langem als Mittel zur Reduzierung parasitärer Kapazitäten sowohl zwischen Gates als auch zwischen Gates und Gate-Kontakten bekannt und verringern so das Risiko von Übersprechen. Das Spacer-Konzept wurde auf 3D-Gate-All-Around-Architekturen (GAA) übertragen, es gibt jedoch noch einen weiteren Haken: Das Spacer-Material muss auch als seitlicher Ätzstopp dienen.

Auch hier passt die Kombination der Eigenschaften, die SPARC-abgeschiedene SiOC-Filme aufweisen, hervorragend zur Situation. Zusätzlich zu seinen elektrischen Eigenschaften bieten die hohe Anisotropie und die hervorragende Ätzselektivität von SiOC eine verbesserte Fab-Line-Leistung im Vergleich zu anderen Optionen.

In beiden Beispielen ist die Minimierung des Übersprechens nur eine Überlegung unter vielen. Es ist jedoch wichtig zu bedenken, dass diese Minimierung für den gesamten Schaltungsentwicklungsprozess von großer Bedeutung ist, da sie die Belastung des Kondensators und des Transistors verringert und es ihnen erleichtert, ihre gewünschten Funktionen auszuführen. Aus einer Gesamtperspektive bedeutet dies, dass weniger Bedarf besteht, andere Wege zur Verbesserung der Leistung zu verfolgen, die alle zwangsläufig mit Kosten verbunden sind und neue Komplikationen mit sich bringen können. Es ist ein großartiges Beispiel dafür, wie eine relativ subtile Verbesserung auf grundlegender Ebene eine enorme Hebelwirkung haben kann.

Die hohe Flexibilität und Anpassungsfähigkeit des SPARC-Verfahrens öffnet die Tür zu einer breiten Palette konformer Filme und Zusammensetzungen. Beispielsweise können damit Silizium-Kohlenstoffnitrid-Filme (SiCN) abgeschieden werden, wiederum mit einem hohen Grad an Abstimmbarkeit. Auch hochwertige konforme Filme auf Borbasis wie Borcarbid (BC) und Bornocarbonitrid (BCN) wurden erfolgreich abgeschieden; Sie bieten ein anderes Sputter- und Ätzverhalten als ihre Si-basierten Gegenstücke.

Eine besonders interessante potenzielle Anwendung sind fortschrittliche Strukturierungstechniken wie Self-Aligned Quadruple Patterning (SAQP), Self-Aligned Gate and Contact (SAGC) und Fully Self-Aligned Via (fSAV), die zunehmend für die Produktion entwickelt werden komplexe 3D-Strukturen. Alle verlassen sich auf Materialien mit ausgeprägter Ätzselektivität, um ein neues Maß an Overlay-Genauigkeit zu erreichen; Sie erfordern im Wesentlichen einzigartige Kombinationen aus planaren und konformen Abstandshalter-, Hartmasken- und Ätzstoppmaterialien, die in verschiedenen Plasmaätz- und Nasschemieverfahren eine nahezu perfekte Ätzselektivität zueinander aufweisen. Auf BC und BCN basierende Filme sind gute Kandidaten, da sie auch geeignete k-Werte, Konformität, elektrische Eigenschaften und andere Eigenschaften bieten.=

Ebenso könnten sich SPARC-abgeschiedene Filme auf Basis von Siliziumkarbid (Si-C) als sehr nützlich bei der Herstellung von 3D-NAND-Speicherlöchern erweisen, da sie eine gute Selektivität gegenüber Oxiden und Nitriden sowie eine Abstimmbarkeit bieten. Und in jeder Situation, in der die plasmabasierte Verarbeitung ein Problem darstellt, kann die Möglichkeit, Radikale zur Herstellung von Filmen Ihrer Wahl zu verwenden, interessante neue Optionen ins Spiel bringen.

Nur wenige Branchen entwickeln sich schneller als die Halbleiterfertigung, und das stellt die Entwicklung und Integration der sich ständig ändernden Produktionsprozesse vor Herausforderungen. Da die Branche weiterhin mit neuen Problemen wie der 3D-Integration und dauerhaften Problemen wie Crosstalk konfrontiert ist, sind Einfallsreichtum und Kreativität erforderlich, um Schritt zu halten, und innovative Tools wie SPARC, die diese Bemühungen unterstützen, um sicherzustellen, dass jede Information klar gehört wird.